Pascal and Francis Bibliographic Databases

Help

Search results

Your search

au.\*:("Behringer, Uwe F. W")

Document Type [dt]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Discipline (document) [di]

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Author Country

A-Z Z-A Frequency ↓ Frequency ↑
Export in CSV

Results 1 to 25 of 112

  • Page / 5
Export

Selection :

  • and

27th European Mask and Lithography Conference (18-19 January 2011, Dresden, Germany)Behringer, Uwe F. W.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7985, issn 0277-786X, isbn 978-0-8194-8553-3, 1 vol, isbn 978-0-8194-8553-3Conference Proceedings

Augmented Reality for Wafer ProberGILGENKRANTZ, Pascal.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7985, issn 0277-786X, isbn 978-0-8194-8553-3, 79850M.1-79850M.6Conference Paper

EUV Mask Readiness and Challenges for the 22 nm Half-Pitch and BeyondCHAN, Y. David.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7985, issn 0277-786X, isbn 978-0-8194-8553-3, 79850A.1-79850A.7Conference Paper

25th European Mask and Lithography Conference (12-15 January 2009, Dresden, Germany)Behringer, Uwe F. W.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7470, issn 0277-786X, isbn 978-0-8194-7770-5 0-8194-7770-2, 1Vol, various pagings, isbn 978-0-8194-7770-5 0-8194-7770-2Conference Proceedings

Multiresolution mask writingSAHOURIA, Emile; GRAPHICS, Mentor.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7985, issn 0277-786X, isbn 978-0-8194-8553-3, 798503.1-798503.9Conference Paper

Mask 3D effects: impact on Imaging and PlacementFINDERS, Jo; HOLLINK, Thijs.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7985, issn 0277-786X, isbn 978-0-8194-8553-3, 79850I.1-79850I.23Conference Paper

The 2002 to 2010 Mask Survey Trend AnalysisHUGHES, Greg; CHAN, David.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7985, issn 0277-786X, isbn 978-0-8194-8553-3, 798502.1-798502.12Conference Paper

Lithography Development and Research Challenges for the ≤ 22 nm Half-pitchWURM, Stefan.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7470, issn 0277-786X, isbn 978-0-8194-7770-5 0-8194-7770-2, 1Vol, 747002.1-747002.11Conference Paper

EMLC 2006 (22nd European Mask and Lithography Conference)Behringer, Uwe F. W.Proceedings of SPIE, the International Society for Optical Engineering. 2006, issn 0277-786X, isbn 0-8194-6356-6, 1Vol, various pagings, isbn 0-8194-6356-6Conference Proceedings

The evolution of pattern placement metrology for mask makingBEYER, Dirk; ROSENKRANZ, Norbert; BLAESING-BANGERT, Carola et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7985, issn 0277-786X, isbn 978-0-8194-8553-3, 79850D.1-79850D.9Conference Paper

Line End Shortening and Application of Novel Correction Algorithms in E-Beam Direct WriteFREITAG, Martin; CHOI, Kang-Hoon; GUTSCH, Manuela et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7985, issn 0277-786X, isbn 978-0-8194-8553-3, 79850S.1-79850S.11Conference Paper

Minienvironment solutions: special concepts for mask-systemsDOBLER, M; RÜB, M; BILLEN, T et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7985, issn 0277-786X, isbn 978-0-8194-8553-3, 79850Q.1-79850Q.15Conference Paper

Resolution capability of EBM-6000 and EBM-7000 for Nano-imprint templateYOSHITAKE, S; KAMIKUBO, T.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7470, issn 0277-786X, isbn 978-0-8194-7770-5 0-8194-7770-2, 1Vol, 74700H.1-74700H.7Conference Paper

Nanoimprint lithography techniques : an introductionSCHEER, H.-C.Proceedings of SPIE, the International Society for Optical Engineering. 2006, pp 62810N.1-62810N.10, issn 0277-786X, isbn 0-8194-6356-6, 1VolConference Paper

The status of LEEPL : Can it be an alternative solution?UTSUMI, Takao.Proceedings of SPIE, the International Society for Optical Engineering. 2006, pp 628102.1-628102.13, issn 0277-786X, isbn 0-8194-6356-6, 1VolConference Paper

Multilayer reticles: Advantages and challenges for 28nm chip makingHOTZEL, Arthur; SELTMANN, Rolf; BUSCH, Jens et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7985, issn 0277-786X, isbn 978-0-8194-8553-3, 798507.1-798507.10Conference Paper

Mask Industry Assessment Trend AnalysisHUGHES, Greg; YUN, Henry.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7470, issn 0277-786X, isbn 978-0-8194-7770-5 0-8194-7770-2, 1Vol, 747003.1-747003.11Conference Paper

Mask salvage in the age of capital contractionKIMMEL, Kurt R.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7470, issn 0277-786X, isbn 978-0-8194-7770-5 0-8194-7770-2, 1Vol, 747004.1-747004.6Conference Paper

Correlation method based mask to mask overlay metrology for 32nm node and beyondSEIDEL, D; ARNZ, M; BEYER, D et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7985, issn 0277-786X, isbn 978-0-8194-8553-3, 79850E.1-79850E.13Conference Paper

Comparison of cleaning processes with respect to cleaning efficiencyNESLADEK, Pavel; OSBORNE, Steve; RODE, Thomas et al.Proceedings of SPIE, the International Society for Optical Engineering. 2011, Vol 7985, issn 0277-786X, isbn 978-0-8194-8553-3, 79850P.1-79850P.10Conference Paper

The magic of 4X mask reductionLERCEL, Michael.Proceedings of SPIE, the International Society for Optical Engineering. 2006, pp 62810R.1-62810R.7, issn 0277-786X, isbn 0-8194-6356-6, 1VolConference Paper

The task of EUV-reflectometry for HVM of EUV-masks: first stepsFARAHZADI, Azadeh; WIES, Christian; LEBERT, Rainer et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7470, issn 0277-786X, isbn 978-0-8194-7770-5 0-8194-7770-2, 1Vol, 74700E.1-74700E.7Conference Paper

Extended Abbe approach for fast and accurate lithography imaging simulationsEVANSCHITZKY, P; ERDMANN, A; FÜHNER, T et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7470, issn 0277-786X, isbn 978-0-8194-7770-5 0-8194-7770-2, 1Vol, 747007.1-747007.11Conference Paper

MeRiT® repair verification using in-die phase metrology Phame® BUTTGEREIT, Ute; BIRKNER, Robert; STELZNER, Robert et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7470, issn 0277-786X, isbn 978-0-8194-7770-5 0-8194-7770-2, 1Vol, 747016.1-747016.7Conference Paper

Particle transport and reattachment on a mask surfaceNESLADEK, Pavel; OSBORNE, Steve; KOHL, Christian et al.Proceedings of SPIE, the International Society for Optical Engineering. 2009, Vol 7470, issn 0277-786X, isbn 978-0-8194-7770-5 0-8194-7770-2, 1Vol, 74700B.1-74700B.11Conference Paper

  • Page / 5